top of page
Foto del escritorEditorial

Intel continúa innovando en procesos y empaquetación

Por: Malka Mekler (M&T)-. Intel Corporation presentó una de las hojas de ruta de tecnología de procesos y empaquetado más detalladas que la compañía haya brindado, en donde se recalcan tecnologías innovadoras que impulsarán sus productos hacia el 2025 y a futuro. Además anunció RibbonFET, su nueva construcción de transistores en más de una década, y PowerVia, un nuevo método pionero en la industria en materia de suministro de energía en la parte trasera, Intel destacó la rápida planeación y adopción de la litografía ultravioleta extrema (EUV, por sus sigla en inglés) de próxima generación, reconocida como EUV de alta apertura numérica.

“Basándonos en el liderazgo incuestionable de Intel en empaquetado avanzado, estamos acelerando nuestra ruta de innovación para asegurarnos que para el 2025 vayamos por un camino claro hacia el liderazgo en el rendimiento de procesos”, dijo el CEO de Intel, Pat Gelsinger, durante el webcast global “Intel Accelerated”. “Aprovechamos nuestra incomparable línea de innovación para ofrecer avances tecnológicos desde el transistor hasta el nivel del sistema. Hasta que se agote la tabla periódica, seremos implacables en nuestra búsqueda para cumplir con la Ley de Moore y nuestro camino para innovar con la magia del silicio”.

Dicha industria reconoce desde hace un tiempo que la denominación tradicional de los nodos de proceso basados en el nanómetro dejó de coincidir con la métrica de la longitud real de 1997. Es por esto que Intel presentó una nueva estructura de nombres para sus nodos de proceso, con lo que se creará un marco claro y congruente para brindar a los clientes una visión más precisa en toda la industria.

Actualmente la claridad es de suma importancia para la empresa con el lanzamiento de los servicios de manufactura. “Las innovaciones presentadas hoy, no solo habilitarán la hoja de ruta de productos de la compañía, sino que también serán fundamentales para nuestros clientes de servicios de manufactura”, dijo Gelsinger. “Se ha mostrado mucho interés en IFS y estoy feliz porque hoy anunciemos a nuestros dos primeros clientes importantes. ¡IFS está listo para empezar con fuerza!” puntualizó.

A continuación les presentamos la descripción de la hoja de ruta con los nuevos nombres de nodos y las innovaciones de Intel:

  1. Intel 7: ofrece un aumento de rendimiento por vatio de aproximadamente 10% a 15% sobre Intel 10nm SuperFin con base en optimizaciones de transistores FinFET. Intel 7 se incluirá en productos como Alder Lake para clientes en 2021 y Sapphire Rapids para el centro de datos, que se espera que esté en producción en el primer trimestre de 2022.

  2. Intel 4: adopta completamente la litografía EUV para imprimir características increíblemente pequeñas con luz de longitud de onda ultracorta. Con un aumento de rendimiento por vatio de aproximadamente 20%, así como mejoras de área, Intel 4 estará listo y en producción para el segundo semestre de 2022 en productos que se entregarán en 2023, incluido Meteor Lake para clientes y Granite Rapids para centros de datos.

  3. Intel 3: aprovecha aún más las optimizaciones de FinFET y un mayor EUV para ofrecer un aumento de rendimiento por vatio de aproximadamente 18% sobre Intel 4, junto con mejoras de área adicionales. Intel 3 estará listo para comenzar a fabricarse en productos durante el segundo semestre de 2023.

  4. Intel 20A: marca el comienzo de la era ángstrom con dos tecnologías innovadoras: RibbonFET y PowerVia. RibbonFET, la implementación de Intel de un transistor de compuerta envolvente será la primera nueva arquitectura de transistores de la empresa desde que fue pionera con los FinFET en 2011, brindando velocidades de conmutación de transistores más rápidas, mientras logra la misma corriente de impulso que múltiples aletas en un espacio más pequeño. PowerVia es la primera implementación de Intel en la industria de suministro de energía en la parte posterior que optimiza la señal de transmisión al eliminar la necesidad de enrutamiento de energía en la parte frontal de la oblea. Se espera que Intel 20A esté listo en 2024. La empresa también está entusiasmada con la oportunidad de asociarse con Qualcomm utilizando su tecnología de proceso Intel 20A.

  5. A partir del 2025: Más allá de Intel 20A, Intel 18A ya está en desarrollo y se prevé que se lanzará a principios de 2025 con mejoras en RibbonFET que brindarán otro salto importante en cuanto al rendimiento de los transistores. Intel también trabaja para definir, construir e implementar EUV de Alta NA de próxima generación, y espera recibir la primera herramienta de producción en la industria de EUV de Alta NA. Intel tiene una alianza estrecha con ASML para asegurar el éxito de este avance en la industria más allá de la generación actual de EUV.

“Intel tiene una larga historia de innovaciones de procesos fundamentales que impulsan la industria a pasos agigantados”, dijo la Dra. Ann Kelleher, vicepresidenta senior y gerente general de Desarrollo de Tecnología. “Lideramos la transición a silicio deformado a 90nm, a compuertas metálicas High-k a 45nm y a FinFET a 22nm. Intel 20A será otro momento decisivo en la tecnología de procesos con dos innovaciones revolucionarias: RibbonFET y PowerVia”.

Comments


bottom of page